Welcome![Sign In][Sign Up]
Location:
Search - de2 nios

Search list

[DocumentsNIOS详讲(DE2)

Description: nios讲的一点资料 非常好!!!
Platform: | Size: 557834 | Author: masterlujp | Hits:

[Embeded-SCM DevelopDE2_NIOS_HOST_MOUSE_VGA

Description: 利用该源代码可以实现在DE2的板子上进行USB画笔的实验-use of the source code can be achieved in the board Dictyophora USB brush on the experiment
Platform: | Size: 1024 | Author: 杨阿胡 | Hits:

[Embeded-SCM DevelopDE2_SD_Card_Audio

Description: This designs uses a Nios II system to demonstrate how to read from the SD card. The software reads WAV files from the SD card and plays it through the LINE OUT line. Simply put a SD card into the slot on the board and connect some speakers to the LINE OUT port.Preparing the SD card -designs uses a Nios II system to demons trate how to read from the SD card. The software r eads WAV files from the SD card and plays it throu gh the LINE OUT line. Simply put a card into the SD slot on the board and connect some speakers to th e LINE OUT port.Preparing the SD card
Platform: | Size: 1350656 | Author: 木 易 | Hits:

[Embeded-SCM Developnios-fanli

Description: nios系统诸多范例,适用于初学者,受益匪浅-Nios many examples of systems suitable for beginners, benefited from
Platform: | Size: 12288 | Author: yeyoushi | Hits:

[Embeded-SCM DevelopSDRAM

Description: SDRAM Controller For Altera SOPC Builder and NIOS on DE2 kit board
Platform: | Size: 1571840 | Author: 李大同 | Hits:

[Embeded-SCM DevelopRS232

Description: RS232 Controller For Altera SOPC Builder and NIOS on DE2 kit board
Platform: | Size: 556032 | Author: 李大同 | Hits:

[Embeded-SCM DevelopISP1362

Description: ISP1362的IP核,可直接用于nios II的应用里,在DE2板子直接使用-ISP1362 s IP core, can be used directly in nios II applications, the direct use in the DE2 board
Platform: | Size: 18432 | Author: 沈克镇 | Hits:

[VHDL-FPGA-Verilogclk

Description: 在DE2上显示时间的程序,包括年月日时分秒,可以设置开始时间,代码在NiosII IDE环境下编写-DE2 displayed in the time-consuming procedures, including the date when the minutes and seconds, you can set the start time code NiosII IDE environment to prepare
Platform: | Size: 1024 | Author: idaisy | Hits:

[VHDL-FPGA-VerilogDE2_NIOS_LITE_SRAM

Description: DE2-SRAM-IP-CORE 需要开发ip core的朋友可以参考哦 ~-DE2-SRAM-IP-CORE need to develop friends can ip core reference Oh ~
Platform: | Size: 1573888 | Author: 张曦 | Hits:

[VHDL-FPGA-VerilogDE2_NIOS_Lite_12_flash

Description: 实现如何在Nios II对Flash进行读写 [SOPC、Nios II、DE2] -Introduce how to read and write the Flash using Nios II[SOPC、Nios II、DE2]
Platform: | Size: 1096704 | Author: bobgeng | Hits:

[VHDL-FPGA-Verilogtut_DE2_sdram_vhdl

Description: This tutorial explains how the SDRAM chip on ltera’s DE2 Development and Education board can be used with a Nios II system implemented by using the Altera SOPC Builder.
Platform: | Size: 546816 | Author: *Roma* | Hits:

[VHDL-FPGA-VerilogDE2_NIOS_CharLCD

Description: nios ii在DE2上实现LCD动态显示,运行成功-nios ii in DE2 to achieve LCD dynamic display, running a successful
Platform: | Size: 18535424 | Author: amy | Hits:

[VHDL-FPGA-Verilogsopc_led

Description: de2板上的led显示程序,最简单的nios测试程序,可以实现de2板上的两个小灯的闪烁,用quatus ii定置sopc系统!-de2 board led display program, the simplest nios test procedures can be achieved in two de2 board flashing lights, and quatus ii set sopc system!
Platform: | Size: 5255168 | Author: 夏英杰 | Hits:

[VHDL-FPGA-VerilogDE2_NIOS_HOST_MOUSE_VGA

Description: 在DE2开发板上实现的VGA输出游戏。硬件用Verilog语言编写,在Quartus上编译;软件用C语言编写,在Nios2上编译运行。把DE2板和显示器键盘连起来即可使用。-Development in the DE2 board game to achieve the VGA output. Hardware using Verilog language, compiled in the Quartus software with C language, compiled to run in Nios2. The DE2 board and display can be used to connect the keyboard.
Platform: | Size: 1627136 | Author: 符玉襄 | Hits:

[ARM-PowerPC-ColdFire-MIPSDE2_NIOS_HOST_MOUSE_VGA

Description: 在ALTERA的DE2开发板上做的关于HOST_MOUSE的例子,基于Quartus II 和SOPC Builder以及Nios II IDE平台所完成!-ALTERA development in the DE2 board to do on HOST_MOUSE example, based on the Quartus II and SOPC Builder and Nios II IDE platform completed!
Platform: | Size: 1874944 | Author: liguoyin | Hits:

[VHDL-FPGA-VerilogDE2_SDCARD

Description: DE2 开发板上,NIOS编程。对SD卡以及USB的读写操作的实例。我的代码及工程绝对完整!代码其实是Verilog编写的。-DE2 development board, NIOS programming. On the SD card and USB examples of read and write operations. My absolute integrity of code and works! Verilog code is written.
Platform: | Size: 11849728 | Author: jiayanfu | Hits:

[Other Embeded programDE2_SDCARD

Description: 在de2上用niosii实现fat文件系统源代码,可以实现文件的读写操作,介质为sd卡。-In the de2 on the file system using niosii to achieve fat source code, the file read and write operations can be achieved, the medium for the sd card.
Platform: | Size: 3747840 | Author: 彭天 | Hits:

[VHDL-FPGA-Verilogpmmd

Description: 基于nios的跑马灯设计,DE0开发板上实现了,跑马灯的流动-Based on the design of nios lanterns, DE0 Development Board on the flow, racing lights
Platform: | Size: 7873536 | Author: 朱欢 | Hits:

[VHDL-FPGA-VerilogLED-DISPLAY

Description: 在DE2板上 (nios II)实现LED的年月日,时分秒的显示。-Achieving LED s year, month, day, hour, minute, seconds display in the DE2 board (nios II).
Platform: | Size: 1024 | Author: zhangxin | Hits:

[VHDL-FPGA-VerilogSDCARD-and-FAT-library-WITH-NIOS-II-FOR-DE2-70_s.

Description: SD-Card controller with FAT driver for a NIOS II in a DE2-70 board.
Platform: | Size: 3982336 | Author: jaime | Hits:
« 12 3 4 5 »

CodeBus www.codebus.net